Page 373 - 완) I MDP 프로젝트 작품 보고서(전체과 1학년)1.6
P. 373

나. FPGA에서 데이터 처리를 위한 프로그램 소스


             module MDP_LCD(clk,reset,dipsw, sw, lcd_rs,lcd_rw,lcd_en,lcd_data,
                           sw_mode, sw_phase,lamp, spk, adc_start, adc_ale, adc_oe, adc_clk,
                           adc_eoc, adc_input, adc_channel);  //모듈 선언부

              input clk,reset,dipsw;  //입출력 선언부
              input [2:0]sw;
              input adc_eoc;
              input [7:0]adc_input;
              input sw_mode; // lamp 밝기 수동, 자동 조절 선택
              input sw_phase;  // lamp 밝기 증가 버튼


              output lamp; // lamp 출력
              output adc_clk, adc_oe, adc_ale, adc_start;
              output [2:0]adc_channel;
              output reg [7:0]lcd_data;
              output reg lcd_rs,lcd_en;
              output lcd_rw, spk; // 스피커 출력

              reg [2:0]state;  //변수 선언부
              reg [31:0]cnt_5ms;
              reg [4:0]cnt_100ms,cnt_50ms;
              reg [5:0]line;

              wire [7:0]A_M,write,write2,write3,write4,write5,write6; // LCD에 표현하기 위한 변수 저장
              wire [7:0]am_pm; // AM PM
              wire [7:0]hour10,hour1,min10,min1; // LCD 시간 및 분
              wire [7:0]thour10,thour1,tmin10,tmin1,tsec10,tsec1; // LCD 타이머 시간 및 분 및 초
              reg [7:0]cnt_1s_half;
              wire [7:0] CDS; // 빛 센서 값
              wire [1:0] lp;
              wire [4:0]hour, thour;
              wire [5:0]min, tmin, tsec;
              wire [1:0]select;
              wire  timer_flag, enable;
              wire [31:0]cnt_5ms_half;


               ADC U0(.clk(clk), .reset(reset), .adc_start(adc_start),
                      .adc_ale(adc_ale), .adc_oe(adc_oe),



                                                         -  366  -
   368   369   370   371   372   373   374   375   376   377   378